博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
UVM:7.5.1 期望值与镜像值
阅读量:4219 次
发布时间:2019-05-26

本文共 353 字,大约阅读时间需要 1 分钟。

1.DUT 中寄存器的值随时可变,寄存器模型不能实时知道这种变更,有时两者不一致。

2.对于任意一个寄存器,寄存器模型有一个专门的变量用于最大可能的与DUT 保持同步,在寄存器模型中称为DUT 的镜像值。

3.还有期望值。如DUT 中invert = 0,镜像值也是0,此时希望向DUT 写入1。

1)调用前面介绍的write,镜像值与期望值都更新为1.

2)通过set将期望值设为1,之后调用update 任务,update 检查期望值与镜像值是否一致,如果不一致,把期望值写入DUT,并更新镜像值:

1)get 得到寄存器的期望值,get_mirrored_value 得到镜像值。

2)对于存储器,不存在期望值和镜像值。寄存器模型不对存储器进行任何模拟。也得到其中的值,只能用7.4.5 的四种操作。

你可能感兴趣的文章
WebGL自学教程——WebGL示例:12. 要有光
查看>>
WebGL自学教程——WebGL示例:13.0 代码整理
查看>>
WebGL自学教程——WebGL示例:14.0 代码整理
查看>>
恶心的社会
查看>>
中国式危机公关9加1策略(第五章 慎用信息控制策略)
查看>>
展现自己的人生智慧
查看>>
深入理解java多态性
查看>>
Java新手进阶:细说引用类型
查看>>
osg中使用MatrixTransform来实现模型的平移/旋转/缩放
查看>>
(一) Qt Model/View 的简单说明
查看>>
(二)使用预定义模型 QStringListModel例子
查看>>
UVM:7.4.5 加入存储器
查看>>
UVM:7.5.1 期望值与镜像值
查看>>
UVM:7.5.2 常用操作及其对期望值和镜像值的影响
查看>>
UVM:7.6.1 检查后门访问中hdl 路径的sequence
查看>>
UVM:7.6.2 检查默认值的sequence
查看>>
UVM:7.7.1 使用reg_predictor
查看>>
UVM:7.7.2 使用UVM_PREDICT_DIRECT功能与mirror 操作
查看>>
UVM:7.7.3 寄存器模型的随机化与update
查看>>
UVM:7.7.4 扩展位宽
查看>>